首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 数字计时器,使用VHDL语言编写,使用数码管显示,精确到ms

数字计时器,使用VHDL语言编写,使用数码管显示,精确到ms

  • 资源大小:789.99 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

数字计时器,使用VHDL语言编写,使用数码管显示,精确到ms-digital timer, the use of VHDL development, the use of digital control, the precision of the ms

文 件 列 表

Exp4-Clock
_xmsgs
xst
_ngo
AA.mcs
AA.prm
AA.sig
SEG.vhd
__projnav.log
seg.ise
_impact.log
automake.log
bitgen.ut
coregen.log
coregen.prj
_impact.cmd
seg.cmd_log
seg.dhp
seg.lso
seg.mrp
seg.ngm
seg.pcf
seg.prj
seg.stx
seg.syr
seg.ucf
seg.ucf.bak
seg.ut
seg_last_par.ncd
seg_ise7_bak.zip
seg.ise_ISE_Backup
seg.npl_ISE_Backup
seg_summary.html
seg.xst
seg.ngr
seg.ngc
seg.bld
seg.ngd
seg_map.mrp
seg_map.ngm
seg_map.ncd
seg.par
seg_pad.csv
seg.pad
seg_pad.txt
seg.unroutes
seg.ncd
seg.xpi
seg.twx
seg.twr
seg.bgn
seg.drc
seg.bit
seg.npl
VIP VIP
0.182338s