首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > using VHDL keyboard scanning procedure can be slightly modified to use

using VHDL keyboard scanning procedure can be slightly modified to use

  • 资源大小:168.34 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

使用VHDL键盘扫描程序,可以稍微修改一下使用

文 件 列 表

5
db
key.qpf
key.qsf
key.map.eqn
key.map.rpt
key.flow.rpt
key.map.summary
key.fit.eqn
key.pin
key.fit.rpt
key.fit.summary
key.sof
key.pof
key.asm.rpt
key.tan.summary
key.tan.rpt
key.done
divd_fren.vwf
divd_fren.vhd
Waveform2.vwf
Waveform1.vwf
key.sim.rpt
key.vhd
key.qws
cmp_state.ini
key.vwf
fren_cout.vhd
fren_count.vwf
VIP VIP
0.178587s