首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl 基于cpld的8*8点阵显示显示心型

vhdl 基于cpld的8*8点阵显示显示心型

  • 资源大小:273.71 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

基于CPLD的实现控制8x8点阵动态显示心型图案的程序,使用VHDL语言,通过调节分频系数可以实现点阵的变换速度,通过改变不同的状态可以让点阵显示不同的图案。

文 件 列 表

点阵
db
dianzhen.asm.rpt
dianzhen.cdf
dianzhen.done
dianzhen.dpf
dianzhen.fit.rpt
dianzhen.fit.smsg
dianzhen.fit.summary
dianzhen.flow.rpt
dianzhen.map.rpt
dianzhen.map.summary
dianzhen.pin
dianzhen.pof
dianzhen.qpf
dianzhen.qsf
dianzhen.qws
dianzhen.tan.rpt
dianzhen.tan.summary
dianzhen.vhd
dianzhen.vhdPreview
dianzhen_assignment_defaults.qdf
incremental_db
VIP VIP
0.229435s