首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl实现的E1接口HDB3编解码

vhdl实现的E1接口HDB3编解码

  • 资源大小:5.22 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: hdb3,virtualenv

资 源 简 介

对于通信专业,学习VHDL的人很有用,可以帮助理解HDB3编解码的实现过程,还有VHDL的基础编程知识。

文 件 列 表

e1if
clk2m_smooth.vhd
clk_gen.vhd
clk_gen.vhd.bak
E1MOUDLE.vhd
E1MOUDLE.vhd.bak
e1_output.vhd
e1_rcv.vhd
VIP VIP
0.189612s