首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 二进制BCD码变换器采用VHDL

二进制BCD码变换器采用VHDL

  • 资源大小:26.55 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

这是一个经过测试和使用的VHDL代码,用于将16位二进制输入数据转换为4位BCD。如果您直接驱动显示器而不经过处理器,并且希望显示在主程序中计算的参数,则该程序非常有用。有关转换的戏剧方面,请阅读随附的pdf。

文 件 列 表

bin2bcd.vhd
Readme.txt
Binary_to_BCD_Converter.pdf
VIP VIP
  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

  • 纯色幽默 2天前 成为了本站会员

  • odd? 2天前 成为了本站会员

0.173587s