首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > AMBA BUS AHB/APB 的Verilog实现

AMBA BUS AHB/APB 的Verilog实现

  • 资源大小:17.07 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

AMBA BUS AHB/APB 的Verilog实现 包含AHB Arbiter,AHB-APB Bridge,AHB ROM Slave,AHB RAM Slave 来自g2 Microsystems Pty. Ltd.

文 件 列 表

AMBA Bus_Verilog_Model
defines
ahb_sram_slave
ahb_rom_slave
ahb_arbiter
ahb_apb_bridge
VIP VIP
0.179197s