首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > rs232 verilog code inclouding of TRX and testbench.

rs232 verilog code inclouding of TRX and testbench.

  • 资源大小:5.19 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 通信 verilog

资 源 简 介

rs232 verilog code inclouding of TRX and testbench. This zip file contains the following folders: verilog_source  -- Source Verilog files:  uart.v   -- top level file  txmit.v  -- transmit portion of uart  rcvr.v   -- receive portion of uart -- Source Verilog files:  txmit_tf.v -- testbench for transmit portion of uart  rcvr_tf.v  -- testbench for receive portion of uart  

文 件 列 表

rcvr.v
rcvr_tf.v
readme.txt
txmit.v
txmit_tf.v
uart.v
VIP VIP
0.183405s