首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > altera fpga ep3c25器件niosii处理器最小系统,已编译通过,可直接下载...

altera fpga ep3c25器件niosii处理器最小系统,已编译通过,可直接下载...

资 源 简 介

altera fpga ep3c25器件niosii处理器最小系统,已编译通过,可直接下载-altera fpga ep3c25 processor minimum system niosii device has been compiled through direct download

文 件 列 表

CIII_NiosII_Small
software
db
CIII_NiosII_Small_sim
CIII_NiosII_Small_generation_script
.sopc_builder
install.ptf
CIII_NiosII_Small.bsf
CIII_NiosII_Small.cdf
CIII_NiosII_Small.done
CIII_NiosII_Small.dpf
CIII_NiosII_Small.fit.smsg
CIII_NiosII_Small.jdi
CIII_NiosII_Small.pin
CIII_NiosII_Small.pof
CIII_NiosII_Small.ptf
CIII_NiosII_Small.ptf.pre_generation_ptf
CIII_NiosII_Small.qpf
CIII_NiosII_Small.qsf
CIII_NiosII_Small.qws
CIII_NiosII_Small.sof
CIII_NiosII_Small.sopc
CIII_NiosII_Small.v
CIII_NiosII_Small_assignment_defaults.qdf
CIII_NiosII_Small_setup_quartus.tcl
CIII_NiosII_Small_temp.ptf
CIII_NiosII_Small_temp.ptf.bak
CIII_NiosII_Small_temp.v
CIII_NiosII_Small_top.bdf
cpu_0.ocp
cpu_0.v
cpu_0.vo
cpu_0_jtag_debug_module.v
cpu_0_jtag_debug_module_wrapper.v
cpu_0_ociram_default_contents.mif
cpu_0_rf_ram.mif
cpu_0_test_bench.v
jtag_uart.v
led_pio.v
name_err.log
NiosII_cycloneII_2c35_standard_sopc_temp.ptf
NiosII_cycloneII_2c35_standard_sopc_temp.ptf.bak
NiosII_cycloneII_2c35_standard_sopc_temp.v
onchip_memory_0.hex
onchip_memory_0.v
readme.txt
sopc_builder_log.txt
.sopc_builder
VIP VIP
0.171331s