首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 快速傅里叶变换用Verilog

快速傅里叶变换用Verilog

  • 资源大小:874.21 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog

资 源 简 介

在计算机科学中的术语,我们可以说他们的算法复杂度为O(n2),因此是一种非常有效的方法。如果我们不能做任何比这更好的DFT不实用的DSP应用多数是非常有用的。然而,有许多不同的快速傅里叶变换(FFT)的算法,使计算速度更快比DFT信号的傅里叶变换。

文 件 列 表

FFT
xfft_radix2
VIP VIP
0.192337s