首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 篮球24秒可控计时器设计

篮球24秒可控计时器设计

  • 资源大小:1.80 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

用VHDL语言设计篮球24秒可控计时器功能说明:1.具有24秒计时、显示功能;              2.设置外部按键,完成清零、暂停、恢复控制;              3.24秒倒计时,时间间隔为1s;               4.时间到后发出报警信号,并在3s后解除。

文 件 列 表

24s倒计时
CNT24
clk
dataselect
decode3_8
shuma
top
VIP VIP
0.177352s