首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL频率计

VHDL频率计

  • 资源大小:2.36 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 频率计

资 源 简 介

采用VHDL编写的频率计,模块划分清晰易懂,基本原理为检测一个闸门脉冲周期内的信号次数,采用四段数码管显示

文 件 列 表

count
BCD.bsf
bcd.vhd
bcd.vhd.bak
clk.bsf
clk.vhd
clk.vhd.bak
cnt10.bsf
cnt10.vhd
cnt10.vhd.bak
count.bdf
count.qpf
count.qsf
count.qws
count.vhd.bak
count_description.txt
db
divider.bsf
divider.vhd
divider.vhd.bak
incremental_db
in_divider.bsf
in_divider.vhd
in_divider.vhd.bak
music.bsf
music.v
num.bsf
num.v
num.v.bak
num_cnt.bsf
num_cnt.vhd
num_cnt.vhd.bak
output_files
reg.bsf
reg.vhd
reg.vhd.bak
simulation
testctl.bsf
testctl.vhd
testctl.vhd.bak
test_clk.bsf
test_clk.vhd
test_clk.vhd.bak
transfer.vhd
transfer.vhd.bak
VIP VIP
0.171666s