首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > io 组件,用vhdl实现io端口的控制,包括输入输出,握手信号,...

io 组件,用vhdl实现io端口的控制,包括输入输出,握手信号,...

  • 资源大小:743.00 B
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

io 组件,用vhdl实现io端口的控制,包括输入输出,握手信号,-io port VHDL code

文 件 列 表

io.vhd
VIP VIP
0.180802s