首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 100例VHDL语言解释,北京理工大学毕业…

100例VHDL语言解释,北京理工大学毕业…

  • 资源大小:36.64 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VHDL语言100例详解,北京理工大学ASIC研究生出版,这里是21-50个examples-VHDL language of 100 cases explain, Beijing Institute of Technology, Graduate ASIC published examples here are 21-50 months

文 件 列 表

49_DELTA
49_TEST.VHD
48_test_18e
48_test_18e.vhd
47_CONST
47_const_test.vhd
46_generic
46_default_generic.vhd
README.TXT
45_test_63
45_test_63.vhd
44_reg_counter
44_MVL7_functions.vhd
44_reg_counter.vhd
44_synthesis_types.vhd
44_test_vector.vhd
44_TYPES.VHD
README.TXT
43_register
43_shift_reg.vhd
43_test_register.vhd
README.TXT
42_MIX
42_MIX.VHD
README.TXT
41_generic_testbench
40_generic_dec.vhd
41_generic_testbench.vhd
README.TXT
40_generic_dec
40_generic_dec.vhd
README.TXT
39_wst0dp
39_wst0dp.vhd
README.TXT
38_test_28
38_Test_28.vhd
37_test_105
37_test_105.vhd
36_GCD
36_GCD.VHD
36_TEST.VHD
README.TXT
35_486_bus
35_486_bus.vhd
35_486_sys.vhd
35_bit_pack.vhd
35_bus_test.vhd
35_ram_controller.vhd
75_RAM.VHD
README.TXT
34_BUS
34_readwrite.VHD
34_readwrite_stim.vhd
README.TXT
33_comparer
33_COMP.VHD
33_comparer.vhd
33_SIMU.VHD
README.TXT
32_test_110b
32_test_110b.vhd
31_test_35b
31_test_35b.vhd
30_test_3
30_Test_3.vhd
29_test_35
29_Test_35.vhd
28_test_64a
28_Test_64a.vhd
27_test_16
27_test_16.vhd
26_test_74s
26_test_74s.vhd
25_test_1
25_test_1.vhd
25_test_1a.vhd
24_test_195
24_test_195.vhd
23_test_120
23_Test_120.vhd
22_deadlock
22_deadlock.vhd
21_test_13a
21_test_13a.vhd
50_test_18e
50_test_18e.vhd
VIP VIP
  • 睥睨 1天前 成为了本站会员

  • ? 1天前 成为了本站会员

  • IATWAY 2天前 成为了本站会员

  • 大智若愚 2天前 成为了本站会员

  • Mason 2天前 成为了本站会员

  • 2天前 成为了本站会员

  • Half_Punch 3天前 成为了本站会员

  • liqing71718 3天前 成为了本站会员

  • 伟国 3天前 成为了本站会员

  • songy 3天前 成为了本站会员

0.202782s