首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 八线-三线优先编码器

八线-三线优先编码器

  • 资源大小:25.59 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

基本的操作代码,a0-a7是八个信号输入端,a7的优先级最高,a0的优先级最低,当a7输入低电平0时,其他输入无效,编码输出y2y1y0=111;如果a7无效,而a6有效,则y2y1y0=110;

文 件 列 表

buxianyouxian
baxianyouxian.flow.rpt
baxianyouxian.map.rpt
baxianyouxian.map.summary
baxianyouxian.qpf
baxianyouxian.qsf
baxianyouxian.vhd
baxianyouxian.vhd.bak
db
incremental_db
VIP VIP
0.269159s