首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Simulation using VHDL language songs Andy Lau

Simulation using VHDL language songs Andy Lau

  • 资源大小:211.07 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用VHDL语言仿真歌曲刘德华的《月老》 -Simulation using VHDL language songs Andy Lau

文 件 列 表

月老
db
cmp_state.ini
mic.mif
music.bsf
music.cmp
music.inc
music.vhd
music_inst.vhd
notetabs.vhd
songer.vhd
speaker.asm.rpt
speaker.done
speaker.fit.eqn
speaker.fit.rpt
speaker.fit.summary
speaker.flow.rpt
speaker.map.eqn
speaker.map.rpt
speaker.map.summary
speaker.pin
speaker.pof
speaker.qpf
speaker.qsf
speaker.qws
speaker.sof
speaker.tan.rpt
speaker.tan.summary
speaker.vhd
tonetaba.vhd
VIP VIP
0.201748s