首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL design classic, it is also useful.

VHDL design classic, it is also useful.

  • 资源大小:36.03 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VHDL经典设计,值得参考。压缩包里面文件直接用记事本打开即可。-VHDL design classic, it is also useful.

文 件 列 表

COUNT60.VHD
COUNT_DOWN.VHD
DEBOUNCE.VHD
DIV1024.VHD
DIVIDER.VHD
DOWNCNT.VHD
FADD4.VHD
FADD.VHD
HEX_FONT.VHD
I24BCD.VHD
I60BCD.VHD
KEY_SCAN.VHD
KEYBOARD.VHD
LEDSCAN.VHD
MOTORCTRL.VHD
MULTIPLIER.VHD
MUX2TO1.VHD
MY_PACKAGE.VHD
MY_PKG.ER
MY_PKG.VHD
NEGATIVE.VHD
REGNE.VHD
SCAN2.VHD
SCAN4.VHD
SCAN8.VHD
SCAN8_DIG.VHD
SCAN8_LINE.VHD
SCAN_1DIG.VHD
SCAN_COUNT.VHD
SCAN_GEN.VHD
SETP_MOTOR.VHD
SHIFTLNE.VHD
SHIFTRNE.VHD
STOP_WATCH.VHD
TRAFFIC.VHD
TRAFFIC_FSM.VHD
TRAFFIC_LIB.VHD
TRAFFIC_MUX.VHD
ALARM_SET.VHD
ASCII_ROM.VHD
BCD3.VHD
BCD.VHD
BCD_7SEG.VHD
BCD_ADD_SUB.VHD
BCD_MUX.VHD
BCDADD.VHD
BIN2LED.VHD
CAL.VHD
CLK_GEN.VHD
CODE_TRAN.VHD
COLA.ER
COLA.VHD
COM9S.VHD
COM_ENCODE.VHD
COMCOUN.VHD
COUNT24.VHD
VIP VIP
0.203380s