首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > I downloaded off the Internet and debug off, and the full realization of NIOS un...

I downloaded off the Internet and debug off, and the full realization of NIOS un...

  • 资源大小:18.62 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

本人上网下载下来并调试过的,完全实现NIOS 下对SD卡读写及包括FAT16文件系统的实现,使用的是QT8.1,FPGA里实现,里面有详细接线图,是完整的一个工程,在EP2C20Q240C8里调试成功-I downloaded off the Internet and debug off, and the full realization of NIOS under the SD card reader and includes FAT16 file system implementation, using QT8.1, FPGA years to achieve, which detailed wiring diagram, is a complete one project in EP2C20Q240C8 debug in the success of

文 件 列 表

sd_card
software
hello_world_0_syslib
Debug
system_description
serial_segment
hdl
sd_sys_sim
dummy_file
sd_sys_generation_script
SD_Card_Controller_SPI
HAL
src
incremental_db
README
freedev_ps2
freedev_i2c
freedev_aic23
FDSOFTWARE
db
.sopc_builder
.sopc_builder
install.ptf
altpll0.bsf
altpll0.ppf
altpll0.v
altpll0_bb.v
altpll0_wave0.jpg
altpll0_waveforms.html
altpllpll.bsf
altpllpll.ppf
altpllpll.qip
altpllpll.v
altpllpll_bb.v
altpllpll_wave0.jpg
altpllpll_waveforms.html
button_pio.v
Chain1.cdf
chaosb_irq_pio.v
clock_0.v
clock_1.v
clock_2.v
clock_3.v
clock_4.v
clock_5.v
clock_6.v
clock_7.v
cpu.ocp
cpu.sdc
cpu.v
cpu_bht_ram.mif
cpu_dc_tag_ram.mif
cpu_ic_tag_ram.mif
cpu_jtag_debug_module.v
cpu_jtag_debug_module_sysclk.v
cpu_jtag_debug_module_tck.v
cpu_jtag_debug_module_wrapper.v
cpu_ociram_default_contents.mif
cpu_oci_test_bench.v
cpu_rf_ram_a.mif
cpu_rf_ram_b.mif
cpu_test_bench.v
cs.bsf
CSB_input.v
decoder.bsf
decoder.v
detector.bsf
detector.v
detector.v.bak
dma.v
FD-TUC.tcl
fd_fifo.v
FreeDevDAV.tcl
FreeDevDAV.tcl.bak
freedev_aic23.v
freedev_aic23_0.v
freedev_i2c_0.v
freedev_i2c_inst.v
freedev_ps2.v
freedev_ps2_inst.v
gps_uart.v
high_res_time.v
i2c_master_bit_ctrl.v
i2c_master_byte_ctrl.v
i2c_master_defines.v
i2c_master_top.v
jtag_uart.v
lcd_16702_0.v
lcd_blon.v
lcd_cs.v
lcd_cs.v.bak
lcd_on.v
led_pio.v
pll.sdc
pll.v
ps2_keyboard_interface.v
rclkint.v
rclkout.v
scdt_uart.v
sdram.v
sdram_test_component.v
sd_card.asm.rpt
sd_card.bdf
sd_card.cdf
sd_card.done
sd_card.dpf
sd_card.fit.rpt
sd_card.fit.smsg
sd_card.fit.summary
sd_card.flow.rpt
sd_card.jdi
sd_card.map.rpt
sd_card.map.smsg
sd_card.map.summary
sd_card.pin
sd_card.pof
sd_card.qpf
sd_card.qsf
sd_card.qws
sd_card.sof
sd_card.tan.rpt
sd_card.tan.summary
sd_card_assignment_defaults.qdf
sd_controller_inst.v
sd_sys.bsf
sd_sys.ptf
sd_sys.ptf.8.0
sd_sys.ptf.bak
sd_sys.ptf.pre_generation_ptf
sd_sys.qip
sd_sys.sopc
sd_sys.sopcinfo
sd_sys.v
sd_sys_clock_0.v
sd_sys_clock_1.v
sd_sys_clock_2.v
sd_sys_clock_3.v
sd_sys_clock_4.v
sd_sys_clock_5.v
sd_sys_clock_6.v
sd_sys_clock_7.v
sd_sys_log.txt
sd_sys_setup_quartus.tcl
serial_segment.v
serial_segment_0.v
shext_irq_pio.v
sopc_builder_log.txt
stp1.stp
sysid.v
sys_clock_time.v
Thumbs.db
timer.v
web_check_log.txt
参考资料
FD.JPG
参考资料
VIP VIP
  • liqing71718 2小时前 成为了本站会员

  • 伟国 3小时前 成为了本站会员

  • songy 5小时前 成为了本站会员

  • 纯色幽默 6小时前 成为了本站会员

  • odd? 7小时前 成为了本站会员

  • 52JOY... 13小时前 成为了本站会员

  • Sirius 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • 额ヽ(  ̄д ̄;)ノ 1天前 成为了本站会员

  • xxx 2天前 成为了本站会员

0.184752s