首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 加法器的VHDL实现

加法器的VHDL实现

  • 资源大小:201.84 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

本资源包括了加法器的VHDL代码实现,供大家学习。

文 件 列 表

HW2
simulation
db
adder.asm.rpt
adder.done
adder.eda.rpt
adder.fit.rpt
adder.fit.smsg
adder.fit.summary
adder.flow.rpt
adder.map.rpt
adder.map.summary
adder.pin
adder.pof
adder.qpf
adder.qsf
adder.qws
adder.sof
adder.tan.rpt
adder.tan.summary
adder.vhd
adder.vhd.bak
VIP VIP
0.183132s