首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 算术逻辑单元4位

算术逻辑单元4位

  • 资源大小:1.49 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

应用背景算术逻辑单元程序为4。执行右移,左移,multiplication.addition和分工,subtraction.no数学符号的使用。ALU具有多种输入和输出的网,这是共同的电气连接,用于传输数字信号之间的逻辑和外部电路。当一个ALU操作,外部电路将信号输入的ALU,响应的,ALU产生和传递信号到外部电路通过输出。关键技术算术运算添加:一个和乙的总和,并出现在。加随身携带:一、乙方及随身携带,并将之以。减:从一个(或反之亦然)中减去,并且在不同的情况下出现开展。对于这个功能,进行有效的“借”指示器。此操作也可用于比较的大小的一个在这种情况下,输出可以被处理器忽略,这是唯一感兴趣的状态位(特别是零和消极的),结果从操作。减去与借用:从一个(或反之亦然)与借用(进行)和差异出现在和进行(借用)。两者的补充(否定):一个(或一个)是从零开始,并且在Y的差异出现。增量:一个(或乙)增加了一个和由此产生的值出现在Y。递减:一个(或乙)是由一个和由此产生的值出现在Y。通过:所有的一个(或乙)位出现未修改的。此操作通常用于确定操作数的奇偶性或者它是否为零或负。

文 件 列 表

ALU_E.vhd
VIP VIP
0.194834s