首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Verilog实现IIC协议

Verilog实现IIC协议

  • 资源大小:1.34 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

代码属于原创,写了一天,比网传的简单明了;用Verilog语言实现的IIC通信协议,用分频计数器的方法实现SCL的输出,同样用计数器的方式确定SCL的低电平中点,在此改变SDA的值。

文 件 列 表

IIC.v
VIP VIP
0.186456s