首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。...

24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。...

  • 资源大小:374.19 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。-24-hour clock design process, with hour, minute, second circuit design, based on the VHDL language, using Quartus 2 program.

文 件 列 表

Time
db
cmp_state.ini
Divider.bdf
Divider.bsf
fenpin.bdf
fenpin.bsf
fenpin1m.bsf
hour.bdf
hour.bsf
minute.bdf
minute.bsf
second.bdf
second.bsf
test.bdf
test.vwf
time.asm.rpt
time.bdf
time.done
time.fit.eqn
time.fit.rpt
time.fit.summary
time.fld
time.flow.rpt
time.map.eqn
time.map.rpt
time.map.summary
time.pin
time.pof
time.qpf
time.qsf
time.qws
time.sim.rpt
time.sof
time.tan.rpt
time.tan.summary
time10.bdf
time10.bsf
time10.vwf
time2.bdf
time2.bsf
time24.vwf
time4.bdf
time4.bsf
time4.vwf
time6.bdf
time6.bsf
VIP VIP
0.222272s