首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言仿真音乐设计 用VHDL语言仿真音乐设计

用VHDL语言仿真音乐设计 用VHDL语言仿真音乐设计

  • 资源大小:222.19 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用VHDL语言仿真音乐设计 用VHDL语言仿真音乐设计-Simulation using VHDL language music design music design simulation VHDL language

文 件 列 表

音乐演奏
db
cmp_state.ini
music.cmp
music.vhd
notetabs.vhd
songer.vhd
speaker.asm.rpt
speaker.done
speaker.fit.eqn
speaker.fit.rpt
speaker.fit.summary
speaker.flow.rpt
speaker.map.eqn
speaker.map.rpt
speaker.map.summary
speaker.mif
speaker.pin
speaker.pof
speaker.qpf
speaker.qsf
speaker.qws
speaker.sim.rpt
speaker.sof
speaker.tan.rpt
speaker.tan.summary
speaker.vhd
speaker.vwf
tonetaba.vhd
tonetaba.vwf
VIP VIP
0.192263s