首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言仿真交通灯 用VHDL语言仿真交通灯

用VHDL语言仿真交通灯 用VHDL语言仿真交通灯

  • 资源大小:123.13 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用VHDL语言仿真交通灯 用VHDL语言仿真交通灯-Simulation using VHDL language VHDL language with traffic lights traffic lights Simulation

文 件 列 表

红绿灯
db
cmp_state.ini
light.asm.rpt
light.done
light.fit.eqn
light.fit.rpt
light.fit.summary
light.flow.rpt
light.map.eqn
light.map.rpt
light.map.summary
light.pin
light.pof
light.qpf
light.qsf
light.qws
light.sim.rpt
light.sof
light.tan.rpt
light.tan.summary
light.vhd
light.vwf
VIP VIP
0.171338s