首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 使用vhdl语言实现对led的控制,还有电路仿真

使用vhdl语言实现对led的控制,还有电路仿真

资 源 简 介

使用vhdl语言实现对led的控制,还有电路仿真-Using vhdl language implementation of the led control, as well as circuit simulation

文 件 列 表

8.2 LED控制VHDL程序与仿真 2004.8修改.doc
VIP VIP
0.164583s