首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > verilog 改性鲍伍利 8 x 8 乘法器

verilog 改性鲍伍利 8 x 8 乘法器

  • 资源大小:1.28 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 改性 乘法器

资 源 简 介

这段代码是修改鲍伍利乘数与乘数强度 8 x 8,和书面的 VERILOG 门级或结构端口映射方法和试验验证了功能仿真从 Xilinx 和 Altera 软件第二

文 件 列 表

baugh_8.v
VIP VIP
  • 睥睨 1天前 成为了本站会员

  • ? 1天前 成为了本站会员

  • IATWAY 2天前 成为了本站会员

  • 大智若愚 2天前 成为了本站会员

  • Mason 2天前 成为了本站会员

  • 2天前 成为了本站会员

  • Half_Punch 3天前 成为了本站会员

  • liqing71718 3天前 成为了本站会员

  • 伟国 3天前 成为了本站会员

  • songy 3天前 成为了本站会员

0.184599s