首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 初学VHDL有用的,了解后对复杂设计有很大帮助.

初学VHDL有用的,了解后对复杂设计有很大帮助.

  • 资源大小:30.11 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

初学VHDL有用的,了解后对复杂设计有很大帮助.-VHDL beginner useful understanding of the complexity of the design has been inspired by them.

文 件 列 表

gvhdl
adder4.vhd
alarm.vhd
alpher.vhd
alpher2.vhd
and.vhd
and2p62.vhd
and2_1.vhd
and2_2.vhd
and2_3.vhd
and2_comp.vhd
bb.vhd
bcdadd4.vhd
bin.vhd
bpac.vhd
CNT2V.VHD
cntm100v.vhd
cntm24v.vhd
cntm60v.vhd
count60m.vhd
count60s.vhd
counter.vhd
counter241.vhd
counter601.vhd
counter67.vhd
current8for.vhd
current8while.vhd
d.vhd
dataclk.vhd
decode.vhd
demo.vhd
dff1.vhd
dfff1.vhd
divp98.vhd
doudong.vhd
er.vhd
ex.vhd
ex1.vhd
FREE_D.VHD
gat.vhd
ggg.vhd
gray.vhd
half51.vhd
half_1.vhd
inv_comp.vhd
jk.vhd
l12.vhd
latch.vhd
ls160.vhd
mul4.vhd
mux.vhd
mux1.vhd
MUX3V.VHD
MUX61.VHD
mypack.vhd
mypack1.vhd
mypack2.vhd
nand.vhd
nand_2.vhd
nuux.vhd
nux.vhd
or2_comp.vhd
peakdetect.vhd
pkg_example.vhd
pluse.vhd
pros_com.vhd
pwide.vhd
roms.vhd
sample.vhd
saos.vhd
SEG471.VHD
sh_reg.vhd
s_reg.vhd
test25.vhd
test47.vhd
test48.vhd
text38.vhd
text47.vhd
textpro1.vhd
vote7.vhd
wand_vhdl.vhd
WAVEFORM.VHD
waveforms.vhd
we2.vhd
VIP VIP
0.170224s