首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用 vhdl 语言实现Rs232

用 vhdl 语言实现Rs232

  • 资源大小:148.95 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 语言 rs 实现

资 源 简 介

Altera DE2 上使用 vhdl 语言设计 RS232 控制器。这是一个串口模块可用于嵌入系统。

文 件 列 表

rs232lab
Baud_Counter.v
DE2_pin_assignments.csv
hex_7seg.v
LCD_Display.v
LCD_display_string.v
makefile
miftext.cpp
miftext.exe
miftext.obj
oneshot.v
reset_delay.v
romtext.qip
romtext.v
romtext_wave0.jpg
romtext_waveforms.html
rs232lab.pin
rs232lab.qdoc.html
rs232lab.qpf
rs232lab.qsf
rs232lab.qws
rs232lab.sof
rs232lab.v
rs232sim.v
RS232_In.v
RS232_Out.v
text.mif
text.txt
VIP VIP
0.167802s