首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 串口程序,基于VHDL 的,很好的程序 快下吧

串口程序,基于VHDL 的,很好的程序 快下吧

  • 资源大小:564.21 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

串口程序,基于VHDL 的,很好的程序 快下吧-Serial procedures, based on VHDL, and a very good program, are you fast

文 件 列 表

UART
db
appl.bsf
appl.cmp
appl.ppf
appl.vhd
appl_wave0.jpg
appl_waveforms.html
cmp_state.ini
fenpin.vhd
UART.asm.rpt
UART.bsf
UART.done
UART.dpf
UART.fit.eqn
UART.fit.rpt
UART.fit.smsg
UART.fit.summary
UART.flow.rpt
UART.map.eqn
UART.map.rpt
UART.map.summary
UART.pin
UART.pof
UART.qpf
UART.qsf
UART.qws
UART.sof
UART.tan.rpt
UART.tan.summary
UART.vhd
uartt.asm.rpt
uartt.bdf
uartt.done
uartt.dpf
uartt.fit.rpt
uartt.fit.smsg
uartt.fit.summary
uartt.flow.rpt
uartt.map.rpt
uartt.map.summary
uartt.pin
uartt.pof
uartt.qpf
uartt.qsf
uartt.qws
uartt.sof
uartt.tan.rpt
uartt.tan.summary
UART_assignment_defaults.qdf
VIP VIP
0.170709s