首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言设计分频器,主要是因为一些子

用VHDL语言设计分频器,主要是因为一些子

  • 资源大小:314.61 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

使用VHDL进行分频器设计,主要是一些分频的东西,整数分频,小数分频,奇次分频和偶次分频-Divider using VHDL to design, mainly because some sub-band stuff, integer divider, fractional-N, odd and even sub-sub-sub-sub-band frequency

文 件 列 表

DividerVHDL.pdf
VIP VIP
0.189810s