首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL4人抢答器

VHDL4人抢答器

  • 资源大小:728.07 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 抢答器

资 源 简 介

4人抢答系统,可以计时20秒,20秒无人抢答则视作无人抢答。start之前抢答者视为违规抢答,违规抢答会警告选手。若有一人抢答则其他3人锁定,不可再抢答。aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa

文 件 列 表

lesson6
count
qiangdaqi
weigui
VIP VIP
0.174039s