首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 这是一个用vHDL语言实现的移位器,可以实现移位功能

这是一个用vHDL语言实现的移位器,可以实现移位功能

资 源 简 介

这是一个用vHDL语言实现的移位器,可以实现移位功能-This is the design of an shifter using vhdl

文 件 列 表

Shifter
db
cmp_state.ini
shifter.asm.rpt
shifter.done
shifter.fit.eqn
shifter.fit.rpt
shifter.fit.summary
shifter.flow.rpt
shifter.map.eqn
shifter.map.rpt
shifter.map.summary
shifter.pin
shifter.pof
shifter.qpf
shifter.qsf
shifter.qws
shifter.sof
shifter.tan.rpt
shifter.tan.summary
shifter.vhd
shifter_assignment_defaults.qdf
VIP VIP
0.216077s