首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > des加密算法,用于IP通讯方面的,用VHDL写成的源程序

des加密算法,用于IP通讯方面的,用VHDL写成的源程序

  • 资源大小:27.84 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 加密解密 vhdl

资 源 简 介

des加密算法,用于IP通讯方面的,用VHDL写成的源程序-des encryption algorithm used for IP communications.the source codes are written in VHDL

文 件 列 表

DES加密算法的VHDL和VERILOG源程序
crp.v.txt
des.v.txt
des_test.v.txt
freedes.vhd.txt
key_sel.v.txt
sbox1.v.txt
sbox2.v.txt
sbox3.v.txt
sbox4.v.txt
sbox5.v.txt
sbox6.v.txt
sbox7.v.txt
sbox8.v.txt
testbench.vhd.txt
VIP VIP
0.171810s