首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 实现了简单的电子表功能,是24小时,用VHDL所编写的,quartus ii 7.2...

实现了简单的电子表功能,是24小时,用VHDL所编写的,quartus ii 7.2...

资 源 简 介

实现了简单的电子表功能,是24小时,用VHDL所编写的,quartus ii 7.2-To achieve a simple spreadsheet functions, is 24 hours, using VHDL prepared, quartus ii 7.2

文 件 列 表

简单电子表
db
CHUAN.VHD
chuan.qsf
CHUAN.qpf
chuan.map.summary
chuan.done
chuan.map.rpt
chuan.flow.rpt
chuan.dpf
CHUAN.qws
VIP VIP
0.191436s