首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 该文件包含 vhdl 代码 andgate 的设计

该文件包含 vhdl 代码 andgate 的设计

资 源 简 介

与门是实现逻辑合取一个基本的数字逻辑门 — — 它真值表的权利的行为。高输出 (1) 的结果,只有当两个输入和门都高 (1)。如果没有或只有一个输入与门是高,低的输出结果。在另一种感觉的功能,有效地发现之间两个二进制数字,最低一样或函数会查找最大值。因此,输出始终是除外的所有输入都时 1s 0。

文 件 列 表

ah
ah.syr
ah.twx
ah.ut
ah.vhd
ah.xst
ah_guide.ncd
ah_map.ncd
ah_pad.txt
VIP VIP
0.179379s