首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 4*4按键扫描电路

4*4按键扫描电路

  • 资源大小:683.22 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

4*4按键扫描电路,用数码管显示0~F,基于VHDL语言设计,包括按键扫描,数码管扫描,数码管显示,按键消抖等代码

文 件 列 表

44键盘扫描电路设计
db
44key
keydesign.qpf
keydesign.qsf
keydesign.sim.rpt
keydesign.vhd.bak
keydesign.vhd
keydesign.map.rpt
keydesign.flow.rpt
keydesign.fit.rpt
keydesign.asm.rpt
keydesign.tan.rpt
key_juzh.vhd.bak
scan_led.vhd
scan_led.vhd.bak
keydesign.map.summary
keydesign.dpf
xiaodou.vhd.bak
keydesign.cdf
keydesign.pin
keydesign.fit.smsg
keydesign.fit.summary
keydesign.pof
keydesign.tan.summary
keydesign.done
keydesign.vwf
VIP VIP
  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

  • 纯色幽默 2天前 成为了本站会员

  • odd? 2天前 成为了本站会员

0.201623s