首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL编写的一个闹钟的控制程序,希望大家看了后能喜欢,也可以学学哟!...

用VHDL编写的一个闹钟的控制程序,希望大家看了后能喜欢,也可以学学哟!...

资 源 简 介

用VHDL编写的一个闹钟的控制程序,希望大家看了后能喜欢,也可以学学哟!-VHDL prepared an alarm clock control procedures in the hope that everyone will love after reading them, but also can learn yo!

文 件 列 表

ALARM_SET.VHD
VIP VIP
0.199313s