首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用Verilog 实现的电子时钟,给初学者一个模版,学习Verilog。

用Verilog 实现的电子时钟,给初学者一个模版,学习Verilog。

  • 资源大小:11.51 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

用Verilog 实现的电子时钟,给初学者一个模版,学习Verilog。-Using Verilog realize an electronic clock, a template for beginners to learn Verilog.

文 件 列 表

Clock
db
clk.v
Clock.qpf
Clock.qsf
clock.v
delay.v
display.v
fdiv.v
fdiv_ms.v
key_press.v
时钟文档.doc
VIP VIP
0.179922s