首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > xilinx xc9572 cpld 实现的伺服电机控制器,电机控制输出,和增量编码器读取。...

xilinx xc9572 cpld 实现的伺服电机控制器,电机控制输出,和增量编码器读取。...

资 源 简 介

xilinx xc9572 cpld 实现的伺服电机控制器,电机控制输出,和增量编码器读取。-Xilinx xc9572 cpld achieve servo motor controller, motor control output, Incremental encoder and the reader.

文 件 列 表

d2_4l
transcript
topwave.timesim_vhw
topwave.udo
topwave.vhw
untitled.cdf
userlang.tpl
vsim.wlf
wave.do
xc9572.cxl
xc9572.dhp
xc9572.npl
.cxl
.untf
__projnav.log
_impact.cmd
_impact.log
_pace.ucf
adsu1.vhd
automake.log
cb8sch.cmd_log
CB8SCH.sch
cb8sch.vhf
compxlib.cfg
compxlib.log
compxlib.log.bak
copy_of_adsu1.sym
copy_of_cb8ce.sym
copy_of_cb8ce.vhd
copy_of_d3_8e.sym
copy_of_d3_8e.vhd
copy_of_ld16.sym
count4._hrpt
count4.bld
count4.cmd_log
count4.gyd
count4.imp
count4.jed
count4.lso
count4.mfd
count4.nga
count4.ngc
count4.ngd
count4.ngr
count4.par_nlf
count4.pnx
count4.prj
count4.rpt
count4.stx
count4.syr
count4.vhdl
count4.vhdsim_par
count4.vm6
count4.xml
count4_build.xml
count4_pad.csv
count4_timesim.nlf
count4_timesim.sdf
count4_timesim.vhd
count9._hrpt
count9.bld
count9.cmd_log
count9.gyd
count9.imp
count9.jed
count9.lso
count9.mfd
count9.ngc
count9.ngd
count9.ngr
count9.pnx
count9.prj
count9.rpt
count9.stx
count9.syr
count9.vhd
count9.vm6
count9.xml
count9_build.xml
count9_pad.csv
counter8._hrpt
counter8.bld
counter8.cmd_log
counter8.gyd
counter8.imp
counter8.jed
counter8.lso
counter8.mfd
counter8.ngc
counter8.ngd
counter8.ngr
counter8.pnx
counter8.prj
counter8.rpt
counter8.spl
counter8.stx
counter8.sym
counter8.syr
counter8.vhd
counter8.vhi
counter8.vm6
counter8.xml
counter8_build.xml
counter8_pad.csv
counter9.vhd
countest.ado
countest.ano
countest.ANT
countest.tbw
countest.vhw
countt1.ado
countt1.ano
countt1.ANT
countt1.tbw
countt1.vhw
d3_8l.cmd_log
d3_8l.lso
d3_8l.ngr
d3_8l.prj
d3_8l.spl
d3_8l.stx
d3_8l.sym
d3_8l.syr
d3_8l.vhd
d5_32e._hrpt
d5_32e.bld
d5_32e.cmd_log
d5_32e.gyd
d5_32e.imp
d5_32e.jed
d5_32e.lso
d5_32e.mfd
d5_32e.ngc
d5_32e.ngd
d5_32e.ngr
d5_32e.pnx
d5_32e.prj
d5_32e.rpt
d5_32e.spl
d5_32e.stx
d5_32e.sym
d5_32e.syr
d5_32e.vhd
d5_32e.vm6
d5_32e.xml
d5_32e_build.xml
d5_32e_pad.csv
d24wave.ado
d24wave.ANT
d24wave.tbw
d24wave.vhw
d524wave.ado
d524wave.ano
d524wave.ANT
d524wave.tbw
d524wave.vhw
decode._hrpt
decode.bld
decode.cmd_log
decode.gyd
decode.imp
decode.jed
decode.lso
decode.mfd
decode.ngc
decode.ngd
decode.ngr
decode.pnx
decode.prj
decode.rpt
decode.spl
decode.stx
decode.sym
decode.syr
DECODE.vhd
decode.vm6
decode.xml
decode_build.xml
decode_pad.csv
decodewave.ado
decodewave.ano
decodewave.ANT
decodewave.tbw
decodewave.vhw
dq024._hrpt
dq24._hrpt
dq024.bld
dq24.bld
dq024.cmd_log
dq24.cmd_log
dq024.gyd
dq24.gyd
dq024.imp
dq24.imp
dq024.jed
dq24.jed
dq024.lso
dq24.lso
dq024.mfd
dq24.mfd
dq024.nga
dq024.ngc
dq24.ngc
dq024.ngd
dq24.ngd
dq024.ngr
dq24.ngr
dq024.par_nlf
dq024.pnx
dq24.pnx
dq024.prj
dq24.prj
dq024.rpt
dq24.rpt
dq024.sch
dq024.spl
dq24.spl
dq024.stx
dq24.stx
dq024.sym
dq24.sym
dq024.syr
dq24.syr
dq024.ucf
dq024.ucf.untf
dq024.vhd
dq24.vhd
dq024.vhdsim_par
dq024.vm6
dq24.vm6
dq024.xml
dq24.xml
dq024_build.xml
dq24_build.xml
dq024_pad.csv
dq24_pad.csv
dq024_timesim.nlf
dq024_timesim.sdf
dq024_timesim.vhd
dq24wave.ado
dq24wave.ano
dq24wave.ANT
dq24wave.tbw
dq24wave.vhw
fdce.cmd_log
fdce.sch
fdce.vhf
impact.log
logic.bld
logic.cmd_log
logic.lso
logic.ngc
logic.ngd
logic.ngr
logic.prj
logic.stx
LOGIC.sym
logic.syr
logic.ucf
logic.vhd
ls259.sch
mdecode._hrpt
mdecode.bld
mdecode.cmd_log
mdecode.gyd
mdecode.imp
mdecode.jed
mdecode.lso
mdecode.mfd
mdecode.nga
mdecode.ngc
mdecode.ngd
mdecode.ngr
mdecode.par_nlf
mdecode.pnx
mdecode.prj
mdecode.rpt
mdecode.spl
mdecode.stx
mdecode.sym
mdecode.syr
mdecode.ucf
mdecode.ucf.untf
mdecode.vhd
mdecode.vhdsim_par
mdecode.vhi
mdecode.vm6
mdecode.xml
mdecode_build.xml
mdecode_pad.csv
mdecode_timesim.nlf
mdecode_timesim.sdf
mdecode_timesim.vhd
mdtest.ado
mdtest.ano
mdtest.ANT
mdtest.tbw
mdtest.vhw
modelsim.ini
modelsim.ini.bak
output.jed
pepExtractor.prj
prjname.lso
results.txt
sel4_1._hrpt
sel4_1.bld
sel4_1.cmd_log
sel4_1.gyd
sel4_1.imp
sel4_1.jed
sel4_1.lso
sel4_1.mfd
sel4_1.nga
sel4_1.ngc
sel4_1.ngd
sel4_1.ngr
sel4_1.par_nlf
sel4_1.pnx
sel4_1.prj
sel4_1.rpt
sel4_1.stx
sel4_1.sym
sel4_1.syr
sel4_1.vhd
sel4_1.vhdsim_par
sel4_1.vm6
sel4_1.xml
sel4_1_build.xml
sel4_1_pad.csv
sel4_1_timesim.nlf
sel4_1_timesim.sdf
sel4_1_timesim.vhd
selwave.ado
selwave.ANT
selwave.tbw
selwave.vhw
testadd.cmd_log
testadd.lso
testadd.prj
testadd.sch
testadd.syr
testadd.vhf
testadd_vhdl.prj
testwave.ado
testwave.ANT
testwave.fdo
testwave.tbw
testwave.tdo
testwave.timesim_vhw
testwave.udo
testwave.vhw
tmperr.err
top._hrpt
top._u_
top.bld
top.cmd_log
top.gyd
top.imp
top.jed
top.lck
top.ldo
top.lfp
top.lpc
top.lso
top.mfd
top.nga
top.ngc
top.ngd
top.ngr
top.par_nlf
top.pnx
top.prj
top.rpt
top.sch
top.schbak
top.schcmd
top.stx
top.sym
top.syr
top.ucf
top.ucf.untf
top.vhdsim_par
top.vhf
top.vhi
top.vm6
top.xml
top_build.xml
top_locked.ucf
top_pad.csv
top_timesim.nlf
top_timesim.sdf
top_timesim.vhd
topwave.ado
topwave.ano
topwave.ANT
topwave.tbw
topwave.tdo
VIP VIP
0.169746s