首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > dp_xiliux the CPLD Verilog design experiments, serial presentation. code test.

dp_xiliux the CPLD Verilog design experiments, serial presentation. code test.

  • 资源大小:119.51 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

dp_xiliux 的 CPLD Verilog设计实验,串口演示.代码测试通过. -dp_xiliux the CPLD Verilog design experiments, serial presentation. code test.

文 件 列 表

RS232
receive
send
_ngo
receive
_ngo
VIP VIP
0.219931s