首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于FPGA的多功能电子时钟的设计很经典的哦

基于FPGA的多功能电子时钟的设计很经典的哦

资 源 简 介

基于FPGA的多功能电子时钟的设计很经典的哦-FPGA-based multi-functional electronic clock designs are very classic Oh

文 件 列 表

CLOCK
db
Block.asm.rpt
Block.bdf
Block.done
Block.dpf
Block.fit.rpt
Block.fit.smsg
Block.fit.summary
Block.flow.rpt
Block.map.rpt
Block.map.summary
Block.pin
Block.pof
Block.qpf
Block.qsf
Block.qws
Block.sof
Block.tan.rpt
Block.tan.summary
c10.vhd
c24.vhd
c6.vhd
c7.vhd
class.bsf
class.vhd
clk_div.bsf
clk_div.vhd
counter10.vhd
counter24.vhd
counter6.vhd
counter7.vhd
decoder.bsf
decoder.vhd
decoder7.bsf
decoder7.vhd
lpm_counter60.bsf
lpm_counter60.cmp
lpm_counter60.vhd
lpm_counter60_wave0.jpg
lpm_counter60_waveforms.html
zhongbai.vhd
VIP VIP
0.191153s