首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 几个VHDL的源代码和和一个本人编写的5级流水线RISC CPU的代码

几个VHDL的源代码和和一个本人编写的5级流水线RISC CPU的代码

资 源 简 介

几个VHDL的源代码和和一个本人编写的5级流水线RISC CPU的代码-several VHDL source code, and in my preparation of a five pipelined RISC CPU code

文 件 列 表

靳远-源程序(处于综合方便的目的,本程序有些地方初始值是不同于原稿的,本程序仅供参考)
transcript
alu.vhd
control.vhd
coreofCPU.vhd
CPU.prd
CPU.prj
data_rom.vhd
ins_rom.vhd
mux2_1.vhd
mux4_1.vhd
opt.vhd
test_banch.vhd
rev_1
coreofCPU.srr
rev_1
VIP VIP
0.200128s