首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > C/C++/VC++ > SystemC示例 全加器代码

SystemC示例 全加器代码

资 源 简 介

System C是一种软/硬件协同设计语言,一种新的系统级建模语言。它包含了一系列C++的类和宏,并且提供了一个事件驱动的模拟核,使得系统的设计者能够用C++的词法模拟并行的进程,特别是在SoC系统中。 这是SystemC全加器的一个例子,可以使用Linux中的g++编译

文 件 列 表

full_adder
driver.cpp
driver.h
full_adder.cpp
full_adder.h
half_adder.cpp
half_adder.h
main.cpp
Makefile
monitor.cpp
monitor.h
VIP VIP
0.243040s