首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > Matlab > 数字滤波器

数字滤波器

  • 资源大小:6.93 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

使用vhdl语言实现了数字滤波器,在Spartan-6上验证通过,最大支持240阶。源代码中滤波器为低通滤波器,可通过matlab中的fdatool工具生成滤波器系数,然后更改滤波器的通带和阻带。

文 件 列 表

FILTER_TESTBENCH
_xmsgs
_ngo
xst
xlnx_auto_0_xdb
testbench
templates
planAhead_run_1
isim
iseconfig
ipcore_dir
Areadme.txt
fdiv.vhd
FILTER_TESTBENCH.gise
FILTER_TESTBENCH.xise
FIR_low_area.vhd
FIR_low_area_summary.html
FIR_low_area_tb_beh.prj
FIR_low_area_tb_isim_beh.exe
FIR_low_area_tb_isim_beh.wdb
fir_top.bgn
fir_top.bit
FIR_TOP.bld
FIR_TOP.cmd_log
fir_top.drc
FIR_TOP.lso
FIR_TOP.ncd
FIR_TOP.ngc
FIR_TOP.ngd
FIR_TOP.ngr
FIR_TOP.pad
FIR_TOP.par
FIR_TOP.pcf
FIR_TOP.prj
FIR_TOP.ptwx
FIR_TOP.stx
FIR_TOP.syr
FIR_TOP.twr
FIR_TOP.twx
FIR_TOP.ucf
FIR_TOP.unroutes
FIR_TOP.ut
FIR_TOP.vhd
FIR_TOP.xpi
FIR_TOP.xst
FIR_TOP_bitgen.xwbt
FIR_TOP_envsettings.html
FIR_TOP_guide.ncd
FIR_TOP_map.map
FIR_TOP_map.mrp
FIR_TOP_map.ncd
FIR_TOP_map.ngm
FIR_TOP_map.xrpt
FIR_TOP_ngdbuild.xrpt
FIR_TOP_pad.csv
FIR_TOP_pad.txt
FIR_TOP_par.xrpt
FIR_TOP_summary.html
FIR_TOP_summary.xml
FIR_TOP_usage.xml
FIR_TOP_xst.xrpt
fuse.log
fuse.xmsgs
fuseRelaunch.cmd
isim.cmd
isim.log
pa.fromNetlist.tcl
par_usage_statistics.html
planAhead.ngc2edif.log
usage_statistics_webtalk.html
webtalk.log
webtalk_pn.xml
xilinxsim.ini
.Xil
VIP VIP
  • Mason 30分钟前 成为了本站会员

  • 1小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

  • Sirius 2天前 成为了本站会员

0.169410s