首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据

RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据

  • 资源大小:3 K
  • 上传时间:2023-03-17
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: verilog RTL HDL 数据传送

资 源 简 介

RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据,执行操作后异步每比特输出。

相 关 资 源

您 可 能 感 兴 趣 的

同 类 别 推 荐

VIP VIP
0.187746s