首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL编写的一个出租车计费器

用VHDL编写的一个出租车计费器

  • 资源大小:238 K
  • 上传时间:2022-12-26
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL 编写 出租车计费器

资 源 简 介

用VHDL编写的一个出租车计费器,起步6元计2公里,此后每半公里计0.8元,停车等待每2.5分计0.8元。通过仿真,但未下载到CPLD测试
VIP VIP
0.179417s