首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序

LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序

  • 资源大小:6 K
  • 上传时间:2022-11-28
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: LED FPGA VHDL 控制

资 源 简 介

LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序
VIP VIP
0.226097s