首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 此程序采用VHDL语言

此程序采用VHDL语言

  • 资源大小:2 K
  • 上传时间:2022-09-26
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL 程序 语言

资 源 简 介

此程序采用VHDL语言,完成在32位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的32位二进制加法器
VIP VIP
0.174806s