首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 二维DCT/IDCT处理核的FPGA设计与实现

二维DCT/IDCT处理核的FPGA设计与实现

  • 资源大小:2282 K
  • 上传时间:2022-09-13
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: IDCT FPGA DCT 二维

资 源 简 介

离散余弦变换(DCT)及其反变换(IDCT)在图像编解码方面应用十分广泛,至今已被JPEG、MPEG-1、MPEG-2、MPEG-4和H.26x等国际标准所采用。由于其计算量较大,软件实现往往难以满足实时处理的要求,因而在很多实际应用中需要采用硬件设计的DCT/IDCT处理电路来满足我们对处理速度的要求。本文所研究的内容就是针对图像处理应用的8×8二维DCT/IDCT处理核的硬件实现。 本文首先介绍了DCT和IDCT在图像处理中的作用和原理,详细说明了DCT变换实现图像压缩的过程,并与其它变换比较说明了用DCT变换实现图像压缩的优势。接着,分析研究了DCT的各种快速算法,总结了前人对DCT快速算法及其实现所做的研究。本文给出了两种性能、资源上有一定差异的二维DCT/IDCT的FPGA设计方案。两种方案均利用DCT的行列分离特性,采用流水线设计技术,将二维DCT/IDCT实现转化为两个一维DCT/IDCT实现。在一维DCT/IDCT设计中,根据图像处理的特点对Loeffler算法的数据流进行了优化,通过合理安排时钟周期数和简化各周期内的操作,大大缩短了关键路径的执行时间,从而提高了流水线的执行速度。最后,对所设计的DCT/IDCT处理核进行了综合和时序仿真。 结果表明,当使用Altera公司的MERCURY系列FPGA器件时,本文设计的方案一能够在116M时钟频率下正确完成8×8的二维DCT或IDCT的逻辑运算,消耗2827个逻辑单元;方案二能够在74M时钟频率下正常工作,消耗1629个逻辑单元。
VIP VIP
0.190447s