首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于VHDL语言的出租车计费系统设计

基于VHDL语言的出租车计费系统设计

  • 资源大小:197 K
  • 上传时间:2022-08-26
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL 语言 出租车 计费

资 源 简 介

基于VHDL语言的出租车计费系统设计,在muxplus上开发实现。实现基本的出租车记费器开发
VIP VIP
0.217143s