首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > A clock writing by Verilog which can count from 00:00 to 23:59. With a C file to see the simulation

A clock writing by Verilog which can count from 00:00 to 23:59. With a C file to see the simulation

  • 资源大小:38 K
  • 上传时间:2022-03-02
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: simulation Verilog writing clock

资 源 简 介

A clock writing by Verilog which can count from 00:00 to 23:59. With a C file to see the simulation results. A co-design example of C and Verilog.
VIP VIP
0.178891s